Commit 32a72bbd authored by Christophe JAILLET's avatar Christophe JAILLET Committed by David S. Miller

net: vxge: Fix some indentation issues

Some statements are not enough or too much indented.
Fix it to improve readalbility.
Signed-off-by: default avatarChristophe JAILLET <christophe.jaillet@wanadoo.fr>
Signed-off-by: default avatarDavid S. Miller <davem@davemloft.net>
parent d18e4f68
......@@ -2495,8 +2495,7 @@ static int vxge_add_isr(struct vxgedev *vdev)
pci_fun, vp_idx);
ret = request_irq(
vdev->entries[intr_cnt].vector,
vxge_rx_msix_napi_handle,
0,
vxge_rx_msix_napi_handle, 0,
vdev->desc[intr_cnt],
&vdev->vpaths[vp_idx].ring);
vdev->vxge_entries[intr_cnt].arg =
......@@ -2512,8 +2511,8 @@ static int vxge_add_isr(struct vxgedev *vdev)
vxge_rem_msix_isr(vdev);
vdev->config.intr_type = INTA;
vxge_debug_init(VXGE_ERR,
"%s: Defaulting to INTA"
, vdev->ndev->name);
"%s: Defaulting to INTA",
vdev->ndev->name);
goto INTA_MODE;
}
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment