Commit f1cbee2d authored by Rajendra Nayak's avatar Rajendra Nayak Committed by Bjorn Andersson

dt-bindings: qcom: Add SC7180 bindings

Add a SoC string 'sc7180' for the qualcomm SC7180 SoC.
Also add a new board type 'idp'

While at it, also sort the SoC and board names in
alphabetical order, and also fix the weird space
and tab combinations found in the file.
Signed-off-by: default avatarRajendra Nayak <rnayak@codeaurora.org>
Reviewed-by: default avatarVinod Koul <vkoul@kernel.org>
Reviewed-by: default avatarRob Herring <robh@kernel.org>
Reviewed-by: default avatarStephen Boyd <swboyd@chromium.org>
Link: https://lore.kernel.org/r/20191108092824.9773-2-rnayak@codeaurora.orgSigned-off-by: default avatarBjorn Andersson <bjorn.andersson@linaro.org>
parent e68ca6b6
...@@ -24,28 +24,30 @@ description: | ...@@ -24,28 +24,30 @@ description: |
The 'SoC' element must be one of the following strings: The 'SoC' element must be one of the following strings:
apq8016 apq8016
apq8074 apq8074
apq8084 apq8084
apq8096 apq8096
msm8916 ipq8074
msm8974 mdm9615
msm8992 msm8916
msm8994 msm8974
msm8996 msm8992
mdm9615 msm8994
ipq8074 msm8996
sdm845 sc7180
sdm845
The 'board' element must be one of the following strings: The 'board' element must be one of the following strings:
cdp cdp
liquid dragonboard
dragonboard hk01
mtp idp
sbc liquid
hk01 mtp
qrd qrd
sbc
The 'soc_version' and 'board_version' elements take the form of v<Major>.<Minor> The 'soc_version' and 'board_version' elements take the form of v<Major>.<Minor>
where the minor number may be omitted when it's zero, i.e. v1.0 is the same where the minor number may be omitted when it's zero, i.e. v1.0 is the same
...@@ -144,4 +146,8 @@ properties: ...@@ -144,4 +146,8 @@ properties:
- qcom,ipq8074-hk01 - qcom,ipq8074-hk01
- const: qcom,ipq8074 - const: qcom,ipq8074
- items:
- enum:
- qcom,sc7180-idp
- const: qcom,sc7180
... ...
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment