Commit 11e79dc5 authored by Ivan Tyagov's avatar Ivan Tyagov

Revert "Cleanup."

This reverts commit 63f9c314.
parent 5a50f1a7
......@@ -32,6 +32,9 @@ from bitarray.util import ba2int
from argparse import ArgumentParser
# XXX: is it needed actually, examine
ModbusRequest.function_code = 55
# name fo device within Lime2
DEFAULT_MOD_IO_DEVICE_NAME = "/dev/i2c-1"
......@@ -170,7 +173,7 @@ def run_async_server(port = 502, interface = "0.0.0.0"):
co=ModbusSequentialDataBlock(0, [0]*10),
hr=ModbusSequentialDataBlock(0, [0]*10),
ir=ModbusSequentialDataBlock(0, [0]*10), zero_mode = True)
store.register(55, 'cm',
store.register(ModbusRequest.function_code, 'cm',
ModbusSequentialDataBlock(0, [17] * 100))
context = ModbusServerContext(slaves=store, single=True)
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment